GlobalFoundries confirms its mass producing 14nm mobile chips

Posted on Thursday, July 16 2015 @ 14:56 CEST by Thomas De Maesschalck
Globalfoundries logo
GlobalFoundries confirmed it has begun volume shipments of 14nm FinFET (14LPE) based products and claims its yields are comparable to those at Samsung's chip plants. The foundry didn't share any details about its 14LPE production capacity but indicated that a significant portion of equipment needed for commercial 14nm chip production has already been installed.

As KitGuru points out, Globalfoundries is likely producing 14nm Exynos SoCs for Samsung's Galaxy S6. As the name "Low Power Early" suggests, this process is for low power chips so don't get your hopes up about 14nm CPUs or GPUs just yet. Those are for 2016.
GlobalFoundries licensed Samsung’s 14LPE (low-power early) and 14LPP (low-power plus) fabrication processes in 2014. The manufacturing technologies feature FinFET transistors and rely on back-end-of-line (BEOL) interconnects of a 20nm node. Usage of 14nm FinFET transistors allows to increase performance of chips [compared to 20nm chips] by 20 per cent at the same power or cut power consumption by 35 per cent without decreasing performance or complexity. Later on GlobalFoundries will start to produce more advanced chips using 14nm LPP [low-power plus] fabrication process that is designed to enable additional performance amid moderate power consumption.


About the Author

Thomas De Maesschalck

Thomas has been messing with computer since early childhood and firmly believes the Internet is the best thing since sliced bread. Enjoys playing with new tech, is fascinated by science, and passionate about financial markets. When not behind a computer, he can be found with running shoes on or lifting heavy weights in the weight room.



Loading Comments