TSMC places another big EUV order to boost capacity

Posted on Monday, November 16 2020 @ 10:28 CET by Thomas De Maesschalck
TSMC logo
Word is going around that TSMC has ordered another 13 EUV machines from Dutch semiconductor equipment maker ASML. TSMC already has over 50 percent of all EUV machines in the world and seems to be extending its lead over other manufacturers. The machines will reportedly be delivered in 2021.

EUV is the next step in semiconductor manufacturing, after overcoming lots of technical challenges over the past two decades the technology is finally making it into mainstream production. Compared with traditional immersion lithography, EUV reduces the usage of multi-patterning techniques when making highly complex circuits. ASML is the only company in the world that makes these machines.
TSMC has placed orders for 'at least' 13 EUV systems with ASML, reports DigiTimes citing undisclosed industrial sources. These tools are set to be delivered throughout 2021, though exact delivery and installation schedule is unknown. Meanwhile, TSMC's actual needs for next year might be as high as 16 – 17 EUV scanners as the company is ramping up production using its fabrication technologies that feature EUV layers. TSMC has not confirmed the report.
Via: Tom's Hardware


About the Author

Thomas De Maesschalck

Thomas has been messing with computer since early childhood and firmly believes the Internet is the best thing since sliced bread. Enjoys playing with new tech, is fascinated by science, and passionate about financial markets. When not behind a computer, he can be found with running shoes on or lifting heavy weights in the weight room.



Loading Comments